Thermal, power and performance aware design of 2D/3D system-on-chip (SOC) architectures


Team

  Atienza Alonso David
  Costero Valero Luis Maria
  Huang Darong
  Medina Morillas Rafael

Research Partners

IBM Research GmbH IBM Research

Sources of Funding

RECIPE H2020
Eurolab4HPC
Nano-Tera


New Version 3.1 Released! And a major stone is achieved with the 1500th download of 3D-ICE. Thanks to all the users for their trust and support!    

Project/Tool scope:

3D-ICE stands for 3D Interlayer Cooling Emulator. It is a Linux based Thermal Emulator Library written in C, which can perform transient thermal analyses of vertically stacked 3D integrated circuits with inter-tier Microchannel Liquid Cooling. It is based on Compact Transient Thermal Modeling (CTTM) of solids and liquids.

Click here full list of publications and documentation related to 3D-ICE.

Also, check out our new FAQ page.

 

Usage of 3D-ICE

3D-ICE is the result of a committment to build a thermal simulator for both 2D and 3D ICs that is uncomplicated, easy to use, simple to understand, and compact and light enough to run fast on computers using minimal resources. The primary intended users of 3D-ICE are VLSI designers, heat sink engineers, researchers and PhD students developing the next generation cooling solutions for ICs. 3D-ICE accepts the physical description of the 3D-IC stack in the form of a simple and straightforward netlist file called the “Stack Description File”. The thermal power distribution of the individual dies can be given to 3D-ICE using “Floorplan File”. Using this information, 3D-ICE performs the transient thermal analysis of the system. There are a myriad of functions available that enable the user to print a variety of thermal data such as thermal maps of individual dies, temperature evolution at a single floorplan element against time, etc.

The latest version of 3D-ICE (3D-ICE 3.1, released in early 2022 enhances 3D-ICE by adding the capability of plugging-in arbitrary plugging models written in equation-based mathematical languages such as Modelica. 3D-ICE 3.1 therefore supports simulation not only of interlayer liquid cooling for 3D-ICs, but also natural and forced air convection (regular heatsinks and heatsink plus fan), including the simulation of variable fan speed setups.

Sincerely,

3D-ICE Project Team

Who are we?: Meet the 3D-ICE team

 

PS: We are constantly looking out for your feedback and comments in our stive to improve 3D-ICE. Please read this page to find out how you can contact us. 

Click here to download 3D-ICE

Please see the User Guide for information before installation.


Contact the 3D-ICE Project Team

 

 

3D-ICE v3.1 Features Video

 

Additional videos







Related Publications

REMOTE: Re-thinking Task Mapping on Wireless 2.5D Systems-on-Package for Hotspot Removal
Medina Morillas, Rafael; Huang, Darong; Ansaloni, Giovanni; Zapater Sancho, Marina; Atienza Alonso, David
2023-10-18Conference PaperPublication funded by WiPLASH H2020 (New on-chip wireless communication plane)Publication funded by Fvllmonti ((FETPROACT))
3D-ICE 3.0: efficient nonlinear MPSoC thermal simulation with pluggable heat sink models
Terraneo, Federico; Leva, Alberto; Fornaciari, William; Zapater Sancho, Marina; Atienza Alonso, David
2021-04-19Transactions on Computer-Aided Design of Integrated Circuits and SystemsPublication funded by RECIPE H2020 (REliable power and time-ConstraInts-aware Predictive management of heterogeneous Exascale systems)Publication funded by Compusapien (Next-gen computing systems inspired by the human brain)Publication funded by Eurolab4HPC2 (European Research Center of Excellence in High-Performance Computing Systems)
Dynamic Thermal Management with Proactive Fan Speed Control Through Reinforcement Learning
Iranfar, Arman; Terraneo, Federico; Csordas, Gabor; Zapater Sancho, Marina; Fornaciari, William; Atienza Alonso, David
2020[Proceedings Design, Automation and Test in Europe Conference and Exhibition]Publication funded by Compusapien (Next-gen computing systems inspired by the human brain)Publication funded by RECIPE H2020 (REliable power and time-ConstraInts-aware Predictive management of heterogeneous Exascale systems)
3D-ICE: a Compact Thermal Model for Early-Stage Design of Liquid-Cooled ICs
Sridhar, Arvind; Vincenzi, Alessandro; Atienza Alonso, David; Brunschwiler, Thomas
2014IEEE Transactions on ComputersPublication funded by Nano-Tera ()
GreenCool: An Energy-Efficient Liquid Cooling Design Technique for 3-D MPSoCs Via Channel Width Modulation
Sabry, Mohamed M.; Sridhar, Arvind; Meng, Jie; Coskun, Ayse K.; Atienza, David
2013Ieee Transactions On Computer-Aided Design Of Integrated Circuits And SystemsPublication funded by Nano-Tera ()
Accelerating Thermal Simulations of 3D ICs with Liquid Cooling using Neural Networks
Vincenzi, Alessandro; Sridhar, Arvind; Ruggiero, Martino; Atienza Alonso, David
2012Proceedings of the 22nd edition of Great lakes symposium on VLSI (GLSVLSI2012)Publication funded by Nano-Tera ()
Fast and Scalable Temperature-driven Floorplan Design in 3D MPSoCs
Arnaldo, Ignacio; Vincenzi, Alessandro; Rodrigo, Ayala; Luis, José; Risco, José L.; Hidalgo, J. Ignacio; Ruggiero, Martino; Atienza Alonso, David
2012Proceedings of the 13th IEEE Latin American Test Workshop (LATW2012)Publication funded by Nano-Tera ()
EigenMaps: Algorithms for Optimal Thermal Maps Extraction and Sensor Placement on Multicore Processors
Ranieri, Juri; Vincenzi, Alessandro; Chebira, Amina; Atienza Alonso, David; Vetterli, Martin
2012Proceedings of the 49th Design Automation Conference, DAC 2012
Thermal Balancing of Liquid-Cooled 3D-MPSoCs Using Channel Modulation
Sabry, Mohamed M.; Sridhar, Arvind; Atienza Alonso, David
2012Proceedings of the IEEE/ACM 2012 Design Automation and Test in Europe conference (DATE)Publication funded by Nano-Tera ()
Neural Network-Based Thermal Simulation of Integrated Circuits on GPUs
Sridhar, Arvind; Vincenzi, Alessandro; Ruggiero, Martino; Atienza Alonso, David
2012IEEE Transactions on Computer Aided Design of Integrated Circuits and SystemsPublication funded by Nano-Tera ()
Neural Network-Based Thermal Simulation of Integrated Circuits on GPUs
Sridhar, Arvind; Vincenzi, Alessandro; Ruggiero, Martino; Atienza Alonso, David
2012IEEE Transactions on Computer Aided Design of Integrated Circuits and SystemsPublication funded by Nano-Tera ()
System-Level Thermal-Aware Design of 3D Multiprocessors with Inter-Tier Liquid Cooling
Sridhar, Arvind; Sabry, Mohamed M.; Atienza Alonso, David
2011Proceedings of Therminic 2011Publication funded by Nano-Tera ()
Fast Thermal Simulation of 2D/3D Integrated Circuits Exploiting Neural Networks and GPUs
Vincenzi, Alessandro; Sridhar, Arvind; Ruggiero, Martino; Atienza Alonso, David
2011Proceedigns of the IEEE International Symposium on Low Power Electronics and Design (ISLPED 2011)Publication funded by Nano-Tera ()
Towards Thermally-Aware Design of 3D MPSoCs with Inter-Tier Cooling
Sabry, Mohamed; Sridhar, Arvind; Atienza Alonso, David; Temiz, Yuksel; Leblebici, Yusuf; Szczukiewicz, Sylwia; Borhani, Navid; Thome, John Richard; Brunschwiler, Thomas; Michel, Bruno
2011Proceedings of Design, Automation and Test in Europe (DATE)Publication funded by Nano-Tera ()
Compact transient thermal model for 3D ICs with liquid cooling via enhanced heat transfer cavity geometries
Sridhar, Arvind; Vincenzi, Alessandro; Ruggiero, Martino; Brunschwiler, Thomas; Atienza Alonso, David
2010Proceedings of the 16th International Workshop on Thermal Investigations of ICs and Systems (THERMINIC'10)Publication funded by Nano-Tera ()
3D-ICE: Fast compact transient thermal modeling for 3D-ICs with inter-tier liquid cooling
Sridhar, Arvind; Vincenzi, Alessandro; Ruggiero, Martino; Brunschwiler, Thomas; Atienza Alonso, David
2010Proceedings of the 2010 International Conference on Computer-Aided Design (ICCAD 2010)Publication funded by Nano-Tera ()